top of page
  • Douglas Fuller

Tech War or Phony War? China’s Response to America’s Controls on Semiconductor Fabrication Equipment


Douglas Fuller CLM Issue 78 December 2023
.pdf
Download PDF • 365KB

Semiconductor Manufacturing International Corporation sign
This article assesses the effectiveness of the Biden administration’s export controls on semiconductor fabrication (chipmaking) equipment targeting China and China’s response. America’s licensing for chipmaking equipment has been surprisingly loose since Biden expanded the range of controls in October 2022. Thus, while China has not made much headway in replacing critical American, Dutch, and Japanese equipment, the setbacks to China’s advanced chipmaking have been less than originally anticipated. SMIC’s ability to make chips for Huawei’s Mate 60 Pro is a direct result of loose licensing. The recent revisions of American controls in October 2023, and the Dutch and Japanese controls also announced in 2023, may make it substantially more difficult for China to advance, particularly by limiting lithography sales, but ultimately the future efficacy of these technology controls depends on changing American licensing practices as well as on revising the rules behind them.

Since Trump began to weaponize supply chains in earnest by placing Huawei on the Entity List in 2019, there have been seemingly progressive steps to restrict technology exports to China on the part of the U.S. as well as frantic moves to create domestic alternatives on the part of China.[1] After a year and a half of standing pat, the Biden administration unleashed much broader and seemingly stricter policies in October 2022 with the stated aim of keeping China further back in its semiconductor technology development than its previous fast follow position of trailing one to two technology generations behind international industry.[2] With this policy, the U.S. moved from targeting specific firms through the Entity List to targeting all Chinese firms’ attempts at advanced fabrication. The Chinese government in reaction redoubled its efforts to localize its semiconductor supply chain. All of these moves and countermoves seemed to herald a major decoupling of the American and Chinese semiconductor industries. In actual fact, the looming dramatic decoupling in semiconductors has turned out to be a very tentative and partial disruption.


This article focuses on the controls on semiconductor fabrication equipment rather than on artificial intelligence (AI) chips because controls on the former potentially will affect a wide swathe of the semiconductor industry and the latter have been widely covered.[3] First, the article describes the fabrication process and associated equipment to provide the background for the controls on fabrication equipment that were first introduced in October 2022. Then, we turn to the strategies of the Chinese government and Chinese firms and the U.S. Department of Commerce’s implementation of these new controls from October 2022 to October 2023. The third section then evaluates the newly revised October 2023 American controls. The conclusion posits various scenarios about the semiconductor fabrication “tech war” going forward.


The Fabrication Process, Related Equipment, and Biden’s New 2022 Controls

The three most critical steps in the fabrication of chips are lithography, etching, and deposition, as highlighted in red in Figure 1. But to give a better idea of the manufacturing process, we will cover the steps in the process in order. Please note that there are multiple cycles in the processes to create each chip, often reaching into hundreds of cycles for advanced chips.


Figure 1: Fabrication Process

Semiconductor fabrication process

Note: The output of fabrication is technically a die (unpackaged chip) and the subsequent packaging of the die transforms it into a chip.


Cleaning equipment cleans the wafer surface and removes contaminants either through chemical and deionized water (wet cleaning) or through plasma (dry cleaning). Thermal process equipment modifies the wafer and the added substrates through high temperatures in furnaces. The two main types of equipment are rapid thermal process (RTP) equipment and oxidation/diffusion equipment.


Deposition consists of adding a thin film layer of materials onto the wafer, typically by adding energy (e.g., heat, light, or plasma) to induce a chemical reaction. Deposition equipment is divided into physical vapor deposition (PVD), chemical vapor deposition (CVD), epitaxial, and others. Deposition steps are used more frequently in advanced manufacturing nodes so there has been an increase in demand for such equipment.


Lithography uses light and direct beams to pattern circuitry onto wafers. Lithography has become an area of heightened focus because one Dutch firm, ASML, dominates the market for the equipment that does this critical process. Photoresist track equipment is used before and after the lithography process to coat photoresist, which is a light-sensitive material that allows the lithography to imprint a pattern on the wafer and to bake it onto the wafer. This photoresist track or processing equipment does not have the immensely high technical barriers to entry of the lithography equipment.


Etching is the selective removal of materials that have been deposited on the wafer. Etching can either be dry (done by ionized gas) or wet (done by chemicals), although dry etching is dominant except in very mature manufacturing nodes. Dry etching is further divided into dielectric and conductor etching based upon the medium being etched. Like deposition, etching steps have increased at more advanced nodes leading to greater demand for etching equipment.


Ion implantation uses chemical dopants to change the electrical properties of wafers. Chemical mechanical polishing (CMP) removes unwanted deposited materials from the wafer using abrasive materials and chemical slurries. A critical type of equipment used in various steps of the fabrication process is process control equipment. The two main types are inspection and metrology. Inspection equipment looks for defects. Metrology equipment measures processes in order to make sure they stay within the very narrow parameters needed for successful semiconductor manufacturing. These machines are critical to achieve high production yields. Thus, lack of access to high performance inspection and metrology machines has severe implications for overall production efficiency.


The new strategy of the Biden administration announced in October 2022 was to target the fabrication equipment going into advanced fabrication (as defined by the Department of Commerce). Although there are no American providers of lithography equipment, the largest lithography supplier, the Netherlands’ ASML, has equipment with high levels of embedded American technology that potentially would be subject to American controls. Beyond that, the American government targeted etching, deposition, and process control equipment from American companies as American firms in specific types of equipment within each category have a near-monopoly position.[4] At the time of the announcement of the new controls, many concerns and complaints focused on the controls being too broadly written such that they would potentially cover equipment meant for less advanced fabrication.[5]


Implementation of October 2022 Controls


1. Chinese government and business reaction


At first, the Chinese government and corporate reaction to the radically expanded American controls under Biden was dramatic. The government announced a US$143 billion third tranche of the Big Fund to confront reliance on overseas semiconductor equipment.[6] The government soon, in early 2023, announced that this new funding was being scaled back due to the costs of COVID-19,[7] but given the emphasis on making Chinese policy even more opaque to prying foreign eyes, this reduction may have just been a feint. Luo Junwei and Li Shushen of the Chinese Academy of Sciences’ Semiconductor Research Institute gloomily talked of China’s semiconductor industry entering a “dark forest” (heian senlin, 黑暗森林) in the wake of the American controls while also calling for a variety of basic research and industrial policy measures to address the semiconductor chokehold the U.S. has over China’s technological development.[8]


Of course, these latest moves were simply a continuation of earlier Chinese attempts to weaken the supply chokehold that American semiconductor fabrication equipment represented. Earlier in the wake of the initial weaponization of the semiconductor equipment supply chain against Huawei, the Chinese government had been encouraging development of local capital equipment suppliers. Whereas the first tranche of the Big Fund spent most of its resources directly on fabrication (chipmaking) capacity, the second tranche of the Big Fund began to distribute significant resources to the capital equipment required to produce chips.[9] In August 2020 the Chinese government released State Circular No. 8 (2020), promising new tax breaks for IC capital equipment producers that were not included in the No. 18 (2000) and No. 4 (2011) circulars for the IC industry and calling on local governments to prioritize IC equipment.[10]


The state’s push to indigenize equipment has been partially successful, as seen by the increasing share of local equipment in non-critical and semi-critical equipment for mature fabs. In the following paragraphs[11] we will review the advances of China’s domestic makers in the most critical equipment for fabrication: lithography, etching, deposition, and process control. Overall, Chinese companies still had a small foothold in the global equipment market at less than 3 percent of global market share in 2021. However, to be fair, companies from only three countries (Japan, the Netherlands, and the U.S.) dominate the global IC fabrication equipment market. Moreover, China’s companies gained market share in mature nodes (28 nm and above) quite quickly after the advent of American sanctions. Nevertheless, these gains have generally remained in mature nodes and they are generally for non-critical equipment.


Table 1: Chinese Firms within the Segments of Global Fabrication Equipment Industry

(Critical segments highlighted in yellow)

Chinese firms within global semiconductor fabrication industry

Source: Author’s compilation from an investment bank report.


2. Lithography


China’s Shanghai Micro Electronics Equipment (SMEE) has frequently been in the news during the last several years with reports promising a major breakthrough in lithography,[12] Nothing has ever come of these reports in terms of equipment in mass production fabs. Instead, SMEE has created demonstration equipment in its laboratory. New reports backed by state media claim that the launch of SMEE’s 28 nm lithography machine is imminent, at the latest by year-end 2023, but given the track record of now stale anticipation, analysts should treat these reports with due caution. Lithography remains one of only two equipment areas in which China has zero presence in the commercial marketplace.[13]

Lithography equipment, especially at advanced nodes, has extremely high technical barriers to entry. Consequently, there are only four producers of lithography equipment of any significant scale: the Dutch firm ASML, which monopolizes the highest end extreme ultraviolet (EUV) lithography equipment and is dominant in the main “workhorse” lithography equipment, deep ultraviolet (DUV) equipment, and three small Japanese suppliers (Canon, NuFlare, and Nikon). What makes China so desperate to make a breakthrough in lithography equipment is the fact that the Dutch not only agreed to place their DUV equipment under export controls but also proposed tighter controls than the original October 7, 2022, American controls.


3. Etching


Etching equipment is dominated by LAM Research, followed by Tokyo Electron and Applied Materials, and technology trends are playing into their dominance. As the technology frontier for fabrication nodes has advanced from 16/14 nm to 5 nm in logic and from 64L (L stands for layers) to 192L in NAND, the market for etching technology has doubled. Chinese chipmakers tend to do better at trailing or even just mature (e.g., 28 nm) nodes where etching equipment is used less frequently and thus there is lower demand. NAURA and AMEC have both entered this market. AMEC offers conductor and dieletric etching equipment at 28 nm for non-critical and semi-critical processes and non-critical equipment at 5 nm. NAURA only offers non-critical and semi-critical equipment at 28 nm for conductor etching.


Nevertheless, LAM Research and other foreign manufacturers are quite concerned that the export controls are giving local manufacturers opportunities in areas now unavailable to foreign, especially American, producers. For example, in 2021, China’s leading NAND flash memory producer, YMTC, was still buying overwhelmingly from LAM Research (46 percent), followed by Tokyo Electron (17.9 percent) and Applied Materials (11.3 percent). Three Chinese producers, AMEC, NAURA, and E-Town, that acquired the American firm, Mattison, in 2016–provided 8.5, 7.5, and 4.7 percent of sales to YMTC, respectively. In the first ten months of 2022, selling to mature node-heavy foundry, Huahong, Lam Research (48.9 percent) and Tokyo Electron (11.1 percent) still managed to capture over half the sales, but other foreign sellers had nearly disappeared, with AMEC capturing 28.9 percent of sales and NAURA capturing 8.9 percent. Moreover, sales to Huahong had fallen steeply year on year, with Lam Research losing 9.2 percent of its sales share and Tokyo Electron losing 11.1 percent compared to 2021.


Local firms have solidified their dominance in more mature nodes. Selling to the mature tech foundry,[14] GTA, in the first ten months of 2022, Tokyo Electron and Lam Research together only accounted for 13.2 percent of sales, with all of the other sales by Chinese firms. This represented a loss of 45 percent of market share for foreign firms at GTA from 2021 when Applied Materials was the largest provider. Naturally, a number of Chinese firms made gains where foreign suppliers lost. NAURA represented 34.2 percent, AMEC 21.1 percent, and other Chinese firms 31.6 percent of GTA’s etching purchases in the first ten months of 2022.


4. Deposition


In deposition, there are at least five Chinese producers reportedly undertaking mass production of equipment: Piotech, NAURA, AMEC, ACMR, and Wanye. NAURA and Piotech are the main vendors by market share. Overall, as in etching, local vendors have seized market share, going from 8.5 percent of domestic Chinese foundry purchases in 2020 to 25 percent in the first ten months of 2022.


Again, comparing sales to YMTC in 2021 to mature and backward foundries, Huahong and GTA, in the first ten months of 2022, Chinese firms made some significant progress, especially in most trailing-edge nodes. NAURA and Piotech were the only Chinese vendors for YMTC, together capturing a mere 10.1 percent of sales. For Huahong, foreign suppliers still dominated, with Piotech and NAURA capturing only 14.3 percent. In trailing node-focused GTA, Chinese vendors did much better, capturing 53 percent of the total deposition purchases.


5. Process control equipment


Process control equipment, comprised of inspection and metrology machines, is almost all critical equipment. This equipment directly impacts the yield rates of fabrication. Thus, purchasers of such equipment are very circumspect about trying unproven newcomers. This area is also one with among the highest technical barriers to entry, and there is a new incumbent advantage in this sector as data analytics using data gathered from existing operating machines have become increasingly important to advance in this equipment segment. Given these factors, it is no surprise that, as with lithography, Chinese vendors have made very little progress beyond those simple tasks in which the barriers are not high, e.g., inspect wafers prior to the fabrication process.


6. Further Chinese progress?


There are marketing presentations from Chinese firms claiming more progress. A September 2023 marketing presentation by AMEC claims that after verification of its etching equipment, its equipment will be able to provide 90 percent of the etching equipment needed for YMTC and it will soon be able to provide all of the inductive coupling plasma ion etching for CXMT.[15]


Reuters [16] has also reported that Chinese equipment makers had won almost half of all orders in the first eight months of 2023 according to Huatai, a local investment bank. However, having accessed the original Huatai (2023) report,[17] its data are extremely limited for two reasons. First, it counts the number of machines sold rather than their value. Second, and more critically, sales only cover a small number of firms. Moreover, the more advanced fabs are either missing from the data entirely[18] or are not reported as buying from domestic suppliers, except for two pieces of equipment sold to Huahong’s most advanced Huali Sixth Fab.[19] Data on foreign orders from elsewhere suggest a boom in foreign equipment imports in the third quarter of 2023, especially from vendors selling very expensive, high-end equipment, including ASML, Tokyo Electron, Applied Materials, and Lam Research [20] that would radically lower the estimate of the domestic share of equipment in value terms. This surge in orders before the Dutch and Japanese controls took full effect also points to local equipment simply not being able to replace many types of foreign equipment. The high levels of replacement at state-controlled GTA suggest that the government supports as much domestication as possible, but the imports suggest there are many areas in which this is still not possible. Thus, the value of local sales seems likely to remain low and not yet to displace equipment at the advanced fabs.


Future advances for Chinese semiconductor fabrication equipment depend also on future market patterns for chipmaking and Chinese firms’ access to the international market. Due to the splurge in the third quarter, China’s equipment sales appear to be very strong for 2023. Going forward, this might change as support for fabrication in the EU, Japan, and the U.S. continues to be rolled out. Moreover, China is rationally planning to become a dominant player in mature logic nodes due to the large demand for such chips for electric vehicles (EVs). The problem here is that the EU, Japan, and the U.S. appear increasingly reluctant to be the market for China’s EV exports. Foreign protectionist countermeasures may move much of this mature node demand offshore, thereby undermining the potential size of China’s semiconductor equipment market. Finally, many advanced equipment makers have strategic advantages from working with chipmakers at the cutting-edge nodes. For example, metrology equipment producer, KLA, receives a rich pool of data from the advanced fabs where its equipment is used, and KLA uses this data to make its metrology equipment even more precise. This data advantage has allowed the firm to pull far ahead of potential competitors. If China’s equipment is going to trailing-edge nodes at home and has little access to the advanced fabs abroad, it is much less likely to be able to leverage these connections to catch up with the advanced technology firms. Depending on how strict future export controls may be, Chinese equipment producers might even face a Galapagos effect in which they are cut off from global trends and therefore fall even further behind.


Implementation of the U.S. Export Controls and Corporate Licensing Strategies

Concerning advanced fabrication, the new U.S. controls announced on October 7, 2022, targeted limiting sales to China of equipment less advanced than the equipment capable of meeting these process technology metrics or better: 16/14 nm for logic, 18 nm half-pitch for dynamic random-access memory (DRAM), and 128 layers for NAND[21] flash memory. Under these broad technical limits were technical standards to guide under what conditions the Department of Commerce’s Bureau of Industry and Security (BIS) would issue licenses for specific types of equipment, e.g., lithography equipment. One means of enforcing these controls was the newly activated U.S. Persons rule[22] that forbids American individuals and firms selling or facilitating Chinese firms from using equipment meeting these metrics. This U.S. Persons rule and the fact that the controls now targeted technologies beyond the cutting edge and not specific firms, unlike placing individual firms on the Entity List, radically expanded American controls to American equipment made overseas and covered potential purchasers of equipment for China-based fabs.


Originally, many of the public complaints and comments about the controls concerned the supposedly too wide scope of these technical rules. Many claimed that following these technical standards would result in rejecting license applications even for equipment intended for mature fabs, which were not the target of the controls. In contrast, others alleged that industry insiders directly wrote some of the technical standards to purposely render them ineffective in preventing equipment sales as the standards as written did not apply to any existing equipment.[23] While the interview subject who mentioned industry writing such rules pointed to etching equipment, the original lithography rules for deep ultraviolet (DUV) immersion lithography also fit this description.[24]


Others were concerned that these unilateral American controls would not work without cooperation from the two other major semiconductor fabrication equipment producers, Japan and the Netherlands.[25] The response of the Department of Commerce to the first concern was to assure the industry that as these October 7 rules were interim final rules, they would be revised. The Department of Commerce’s response to the latter concern was that the American government was working on multilateral controls with Japan and the Netherlands and that American monopolized eleven different pieces of equipment without which advanced fabs could not run without.[26] Beyond this was the unspoken threat of the U.S. unilaterally controlling ASML’s advanced lithography equipment by invoking American content rules and changing the de minimis level of American-origin technology required to fall under American controls.


What actually happened in the year between the initial policy and its revisions in October 2023 was a very loose licensing policy for American firms. There was a learning curve involved as sales looked slow and then spiked in 2023, especially in Q3[27]. Some of the spike was due to last-minute buying of Dutch and Japanese equipment before their own controls, first announced in the spring, would go into effect.[28] However, there was also large-scale buying from the major American firms, Applied Materials and Lam Research, in 2023 Q3 after drops in sales in the nearly three quarters (most of 2022 Q4, 2023 Q1 and Q2) following imposition of the new controls.[29]


The looseness of licensing became apparent when Huawei announced that it had produced its Huawei Mate 60 7-nm chipset at SMIC’s Shanghai fab. According to a source close to American equipment makers, BIS was widely approving licenses for equipment with little regard to following the supposedly broad technical standards that would have dictated not issuing licenses.[30]


Chinese firms used a variety of tactics to access needed equipment for controlled technologies even when they otherwise would not get licenses. For example, SMIC, already on the Entity List, was restricted from buying 10-nm and lower equipment for its Shanghai FinFET[31] fab prior to the October 7 controls, so the Shanghai fab would not receive licenses for deliveries of advanced equipment. Lucky for SMIC, the firm has many older fabs and it ordered equipment ostensibly for these fabs even when the equipment could only plausibly be used for its advanced Shanghai fab so the licenses should have been denied. “Third-party” vendors of parties, some of whom were likely subsidiaries of SMIC, ordered needed spare parts to keep the equipment running for the advanced Shanghai fab. One of these vendors was located right by SMIC’s Beijing fab.[32] Despite generally loose licensing, the Commerce Department seemed to remain much stricter when it came to NAND producer YMTC. Most likely, YMTC’s placement on the Entity List in December 2022 is the reason the Commerce Department has been so much stricter in licensing YMTC than it has been with the other two Chinese firms, SMIC and CXMT, with advanced fabs near or beyond the technical limits set by the Department of Commerce.


Revised Controls of October 2023

Under pressure to do something in the wake of SMIC fabricating Huawei’s advanced chipset, Secretary Raimondo, in her September 19, 2023, testimony, promised that the upcoming revisions would address these concerns. The revised controls published on October 17, 2023, have not provided a clear pathway to cracking down harder on licensing. Instead, in response to critics who complained that the standards were too broadly written, the revisions considerably narrowed the technical metrics of the standards. Such revisions would be sensible if the original standards had been so broad as to stop sales of mature equipment. Instead, licensing was very loose for even some advanced equipment under these broad standards, so narrowing them potentially implies even fewer licenses will be denied due to the narrower technical grounds on which to do so.


Moreover, there are other loopholes. R&D fabs can purchase equipment. As the U.S. has shown an inability to control equipment once it reaches China, this seems an easy loophole to manipulate. The U.S. has also allowed third-country firms operating in China to be exempt from the U.S. Persons controls. Furthermore, there was harmonization with the new Japanese controls that watered down the wording about equipment capabilities. The wording of the controls was changed to equipment “designed for” from “capable of” so equipment not designed specifically for an advanced node but capable of working at that node in theory could be licensed. Evidence of continuing robust Japanese exports after the Japanese controls went into effect in July suggests that the “capable of” standard is indeed porous. Similarly, parts are not under these controls unless they are for the equipment specifically listed. Given that these revisions appear to have created many new potential loopholes, one industry source referred to them as a “hot mess.”[33]


The one area where there seems to be a refining of the technical standards in a way that points to a tightening of the controls is lithography. Since the most advanced lithography equipment, EUV, was already controlled by the multilateral Wassenaar Arrangement, China needed access to the next best lithography, DUV immersion equipment. As detailed above, the original American standards were nonsensical. Coordinating with the U.S., the Dutch came out with their new controls in June 2023 that vastly improved upon the original American controls by instituting a rule limiting exports of DUV equipment that could do single-machine overlay[34] (SMO) at 1.5 nm. Such a rule still allows ASML’s 1980Di DUV immersion scanner to be used down to 10-nm for logic and 18-nm for DRAM. However, the Dutch still had another nonsensical rule that specified resolutions that are not possible with such DUV immersion equipment.[35]


The U.S. adopted the Dutch revisions and added new ones to the revisions to American export controls in October 2023. These rules are much stricter due to requirements for licensing for SMO for less than 2.4 nm, not only 1.5 nm. If enforced, these controls would prevent any licenses for 1980Di and the previous generations of DUV immersion scanners (1970I). With these rules, logic process technology could be stopped at 14 nm and the restrictions might be able to stop DRAM makers from producing at 18 nm. What will most likely happen if the rules are enforced is ASML and Japan’s Nikon will ship 1980Di and equivalents with software that prevents them from using overlay (a type of verification of production errors) to produce 7 nm logic chips. None of these rules prevents NAND from being produced because they can use older immersion machines to do so.[36] Of course, YMTC, China’s NAND champion, is on the Entity List and thus requires licenses even for this older lithography equipment.


One last change the U.S. added to its regulations lowered the American content required for controls to be placed on lithography equipment from 25 percent to anything above 0. This is the ugly unilateral side of the now multilateral controls that sends a message to ASML and Nikon that the U.S. has a veto on any lithography equipment with even a smidgen of American content. In ASML equipment especially, such content is significant.


Conclusion

Once the new American revisions and the Dutch and Japanese controls are in effect,[37] there likely will be two main trajectories. The first trajectory is that the U.S. begins to take the new lithography controls seriously, making things much more difficult for China’s chipmakers. The second trajectory is that some combination of not implementing the new controls or allowing abuse of the loopholes discussed above will allow licensing for significant logic and DRAM capacity at technology nodes that the controls were meant to prevent. It is important to remember that in the very short term (one year), China will benefit from the huge amount of new advanced equipment that it purchased during in 2023.[38]


For the first scenario, strict licensing going forward plus the gradual degradation of existing equipment at SMIC’s FinFET fab, due to a lack of equipment servicing, would eventually knock China’s process frontier back from 7 nm to 14 nm (recall that smaller process dimensions are more advanced), which is still more advanced than the American stated targets, and probably would prevent CXMT, China’s DRAM champion, from attaining 18 nm. Assuming the restrictions hold for selling other equipment to YMTC, which is on the Entity List, China’s NAND progress would also be stalled, even though lithography is not the key chokehold here.


For logic and DRAM, the Chinese would need at a minimum to produce fab-ready advanced DUV immersion equipment to break the chokehold presented by multilateral controls and it still appears that China’s SMEE is years away from being able to do so. The barriers would become higher if the U.S. were to become serious about cracking down on equipment and parts for parties that then leak these items to China’s most advanced fabs. In this case, China, even if it were to manage to create a domestic alternative to advanced DUV lithography, would still have to be able to produce alternatives for a wide range of equipment to even get back to SMIC’s 7 nm process technology because that production process has relied heavily on such gray channels for American products. For NAND, China would also confront the need to produce alternatives to all such equipment except advanced lithography that advanced NAND production does not require.


Given the number of remaining loopholes, the narrowing of the technical restrictions and how (in practice, if not rhetoric) solicitous the Department of Commerce has been to American semiconductor fabrication equipment makers in licensing, it is not difficult to imagine a scenario in which the U.S. tolerates a fair amount of gray channel activity making use of the loopholes. The servicing of equipment over time would require domestic firms to take up the task with or without the implicit cooperation of the foreign equipment vendors. Pursued relatively narrowly (some licenses but not a repeat of 2023’s third quarter), this might allow maintenance and even some expansion of SMIC’s 7 nm production and CXMT to achieve 18 nm DRAM. There are debates about whether SMIC can use the equipment it has now in Shanghai to achieve 5 nm, but that is at least within the realm of possibility. A much more expansive looseness in licensing would of course allow even more scale at these nodes in logic and DRAM. Given that the U.S. has been strict in terms of implementation of controls regarding YMTC, there is little likelihood that would change under any of these scenarios. Such scenarios would provide more breathing room for Chinese equipment makers to try to catch up, although if loose licensing begins to be seen as the norm, local chipmakers might become less interested in purchasing from local vendors, except for the non-critical equipment in which they are likely to be price competitive.


Of the three scenarios (strict controls, some licensing, and loose licensing), strict controls or some licensing are much more likely than loose licensing. After all, the Department of Commerce is already under some scrutiny for its loose licensing over the past year and Secretary Raimondo has promised to tighten up. Continued large-scale expansion of SMIC’s advanced logic capacity and CXMT’s DRAM would probably not be greeted kindly by either political party in Congress.


About the Contributor

Douglas B. Fuller is an Associate Professor in the Department of International Economics, Government and Business at Copenhagen Business School. His research focuses on the intersection of Chinese technology policy and corporate technology strategy, and the geopolitics of the technology industry. He is the author of Paper Tigers, Hidden Dragons: Firms and the Political Economy of China’s Technological Development (OUP 2016, 2019), editor of two volumes on Hong Kong’s innovation system and technology flows between the US, China and Taiwan, respectively, and numerous articles.

Notes

[1] Douglas B. Fuller, ““China’s Counter-strategy to American Export Controls in Integrated Circuits,” China Leadership Monitor, Issue 67 (March 1, 2021).

[2] Past policy was principally aimed at controlling China’s access to cutting-edge fabrication equipment rather than keeping China in a fast-follower position in terms of design and packaging of chips.

[3] D. Patel, M. Xie, D. Nishball, and W. Chu, “Wafer Wars: Deciphering Latest Restrictions on AI and Semiconductor Manufacturing,” SemiAnalysis, October 24, 2023.

[4] Center for New American Security (CNAS), “A Conversation with Under Secretary of Commerce Alan F. Estevez,” October 27, 2022.

[5] D. Patel, “China and USA Are Officially at Economic War – Technology Restriction Overview,” SemiAnalysis, October 8, 2022.

[6] Julie Zhu, “China Readying $143 Billion Package for its Chip Firms in face of U.S. Curbs,” Reuters, December 14, 2022.

[7] “Unpredictable Xi Spurs $100 Billion Rally with Abrupt Shifts,” Bloomberg News, January 10, 2023.

[8] Luo Junwei and Li Shushen, “加强半导体基础能力建设 点亮半导体自立自强发展的“灯塔” [Strengthen the Building of Semiconductor Basic Research Capacity to Light the Beacon of Semiconductor Self-Reliance and Self-Improvement], 中国科学院院刊 [Bulletin of the Chinese Academy of Sciences] 38, no. 2(2023):187–192.

[9] Li Na, and He Leshu, “大基金二期有望带动万亿元资金进场” [The Big Fund Second Tranche Holds Promise to Spur One Trillion Yuan into the Industry], 第一财经日报 [First Financial Daily], March 23, 2020.

[10] Fuller, “China’s Counter-strategy to American Export Controls in Integrated Circuits.”

[11] All the data on equipment market sales for 2021–2022 in the following pages (until the sub-section “Further Chinese Progress?”) are from an investment bank report that must remain anonymous unless another source of the data is cited.

[12] For example, in 2021 reports claimed SMEE could produce lithography equipment for 28 nm processes (https://www.verdict.co.uk/chips-china-us-semiconductors/), but this equipment never saw the light of day in the open market. Recent reports claim that SMEE can produce lithography equipment able to manufacture 90 nm chips (Eduardo Jaramillo, “China’s Semiconductor Industry Can’t Quit German Optics,” The China Project, May 1, 2023), but there is no evidence that they can do even that at a mass manufacturing level.

[13] Global Times, https://www.globaltimes.cn/page/202308/1295484.shtml; Huatai 9.5.2023 reports sales of domestic lithography equipment, with 2 machines sold in 2020, 1 in 2022, and 1 this year, without further explanation about the buyer or the specific type of equipment.

[14] This foundry primarily fabricates insulated-gate bipolar transistor (IGBT) and analog products rather than advanced logic chips.

[15] The names of these two companies are not named, but YMTC is the only domestic NAND producer and CXMT is the only domestic DRAM producer, and these products are identified as going to NAND and DRAM producers, respectively, in the promotional material.

[16] F. Potkin and Y. Mo, “Chinese Chip Equipment Makers Grab Market Share as US Tightens Curbs,” Reuters, October 19, 2023.

[17] Huatai Research (华泰研究), 半导体设备中标专题 (23年7—8月). September 5, 2023.

[18] SMIC is only represented by its very mature tech Shaoxing fab and CXMT is not included at all.

[19] This fab has 28-14 nm logic process technology.

[20] Patel et al., “Wafer Wars”; Andy Lin and Qianer Liu, “China Imports Record Amount of Chipmaking Equipment,” Financial Times, August 25, 2023.

[21] NAND stands for “not-and” for the Boolean operator that defines the logic gates of this nonvolatile memory that stores data even when it is turned off.

[22] In the Export Control Reform Act of 2018, but not activated prior to October 2022.

[23] Interview, September 5, 2023.

[24] The Rayleigh criterion defines resolution for imaging systems as Resolution= k1-factor*wavelength/lens-size with K1 as a scalar setting how blurry an image can be. The rules set the minimum resolution to 45 nm but then incorrectly set the k1 scalar for DUV immersion lithography scanner at 0.35, which yields a resolution of 50 nm so DUV immersion scanners were not controlled even though such scanners can achieve a minimum resolution of 38 nm (Lithos Graphien, (pseudonym), “Litho World & Commerce: Lost in Translation?” ChinaTalk, November 1, 2023.

[25] See the comments responded to in Bureau of Industry and Security, “Export Controls on Semiconductor Items,” October 17, 2023.

[26] CNAS, “A Conversation with Under Secretary of Commerce Alan F. Estevez.”

[27] As Q3 sales are not yet in, it is possible that the high sales of Q3 will continue is Q4.

[28] Lin and Liu, “China Imports Record Amount of Chipmaking Equipment.”

[29] Patel et al., “Wafer Wars.”

[30] Interview, September 5, 2023.

[31] FinFET stands for fin-shaped field effect transistor, and advanced logic fabs of 16/14 nm onwards use this technology.

[32] A Reuters journalist recently reported that Applied Materials is under investigation by the Department of Justice for illegal shipments to SMIC Shanghai. However, this investigation apparently started in October 2022 (thus before the new controls of October 7 of that year came into effect) and were related to shipments Applied Materials allegedly made in 2021 and 2022 in violation of the restrictions concerning SMIC’s placement on the Entity List in 2020 (K. Freifeld “Applied Materials under US criminal probe for shipments to China's SMIC 2023,” Reuters, November 17, 2023) not the October 7, 2022 controls.

[33] Correspondence via direct messaging on a social media app on October 19, 2023.

[34] SMO is using a single machine to expose two layers on wafer to verify if there are errors in positioning.

[35] Lithos Graphien (pseudonym), “Litho World & Commerce: Lost in Translation?” ChinaTalk, November 1, 2023.

[36] Ibid.

[37] The Japanese controls were in effect from July 23, 2023, and the American controls were in effect from November 16, 2023, but the Dutch controls do not come into effect until the beginning of 2024.

[38] ASML sales to China continued to be robust in the last quarter of 2023 because the controls are not yet in effect. Jane Cai, “China Urges Netherlands to Continue Cooperation Ahead of Dutch Curbs on Hi-tech Exports,” South China Morning Post, November 9, 2023.

Photo credit: Lhzss8, CC BY-SA 4.0 <https://creativecommons.org/licenses/by-sa/4.0>, via Wikimedia Commons

Recent articles:

bottom of page